ghdl-llvm

VHDL compiler/simulator (LLVM backend)

Debian Debian
RUN apt-get update && \ apt-get install -y ghdl-llvm
Ubuntu
RUN apt-get update && \ apt-get install -y ghdl-llvm
image/svg+xml Kali Linux
RUN apt-get update && \ apt-get install -y ghdl-llvm
Fedora
RUN dnf install -y ghdl-llvm
click the source code to copy install ghdl-llvm on any operating system with command-not-found.com