ghdl

Open-source simulator for the VHDL language. More information: <http://ghdl.free.fr>.

Debian Debian
RUN apt-get update && \ apt-get install -y ghdl
Ubuntu
RUN apt-get update && \ apt-get install -y ghdl
image/svg+xml Kali Linux
RUN apt-get update && \ apt-get install -y ghdl
Fedora
RUN dnf install -y ghdl
click the source code to copy install ghdl on any operating system with command-not-found.com